next up previous contents
Next: MCM Architecture Up: MCM Packaging Technology Previous: MCM Packaging Technology

What is a Multi-Chip Module (MCM)?

 

A multichip module could be defined in a number of ways. Some define it as a structure consisting of two or more integrated circuits electrically connected to a common circuit base and interconnected by conductors in that base. A second approach to defining MCMs is based on the silicon efficiencygif achieved by the technology. Using this approach, an MCM is defined as a structure in which a packaging efficiency of greater than 30% is achieved. This definition implies a particular technology that allows chips to be packed closely together. The MCM technologies will be discussed in section gif.


next up previous contents
Next: MCM Architecture Up: MCM Packaging Technology Previous: MCM Packaging Technology

Said F. Al-Sarawi,
Centre for High Performance Integrated Technologies and Systems (CHIPTEC),
Adelaide, SA 5005,
March 1997